Skip to content

Wujian100的SoC实现

实验目的

主要完成wujian100 SoC在FPGA上的部署。学会使用Xilinx vivado工具。

实验步骤

1. 把wujian100用Vivado打开

1) 创建工程

next后添加 verilog 源码:

点击next后添加xdc文件

选择开发板

2) 文件添加进来后,VIVADO 会自动识别、编译、分析,VIVADO 分析文件中的错误,用红色波浪线标识(错误原因是没有识别出头文件,将这四个文件类型改为头文件类型即可)

3) 调用时钟IP

2. 根据 perfv 开发板手册添加管脚约束

详细见文件“xdc的更改”.

3. 综合工程,综合无误后进行bit流下载

可能出现error-某某module未找到,注释掉这个module就行

综合结果如下:

4. 下载到开发板上

1) 接口连接电脑和开发板

2) 固化FLASH

显示5表明wujian100已经下载到了开发板里,并且上电复位就可以运行。