Skip to content

流水灯

流水灯

项目名称:流水灯。 具体要求:复位时,所有灯全灭。打开开关 SW1 时,所有灯做流水动作。 系统设计:

硬件介绍:

开发板上有 9 个 LED,包含 1 路 FPGA_DONE 信号指示灯,1 路 3.3V 电源指示灯,3 路用户 RGB 灯,4 路用户红灯。

开发步骤:

1.启动 VIVADO 开发环境,点击创建工程,在弹出的窗口中输入工程名和工程存放的目录。

2.点击 NEXT 到选择器件的页面,在这里我们选择 xc7a35tftg256-1。

3.编写程序并进行编译。

4.管脚约束。

5.实现生成比特流后下板验证。